# Определение периода тактового сигнала
create_clock -period 20.0 [get_ports snk_clock]

# Определение времени задержки для сброса
create_reset -name async_reset -asserted {1'b1} [get_ports snk_reset]

# Определение временного интервала для сигнала валидности данных
set_multicycle_path -from [get_ports snk_valid] -to [get_ports snk_sop] 2

# Устанавливаем временные ограничения для сигнала записи в память
set_false_path -from [get_ports snk_valid] -to [get_ports WE]

# Определение временного интервала для сигнала snk_sop
set_multicycle_path -from [get_ports snk_sop] -to [get_registers address] 10

# Определение временных ограничений для сигналов snk_reset и snk_clock
set_max_delay -from [get_ports {snk_reset snk_clock}] -to [get_registers address] 10