# Определение тактового сигнала
create_clock -period 7.52 [get_ports src_clock]

# Определение сброса
create_reset -async -active_high -rst_pin src_reset

# Определение временных ограничений для сигнала w_export
create_generated_clock -name w_export_clk -divide_by 1 -source [get_pins w_export] [get_pins w_export]
derive_clock_uncertainty

# Ограничение времени считывания из памяти
set_max_delay 7.52 -from [get_ports src_clock] -to [get_pins memory[*]]

# Ограничение времени передачи данных на шину Avalon-ST
set_max_delay 7.52 -from [get_ports src_clock] -to [get_ports src_data]
set_max_delay 7.52 -from [get_ports src_clock] -to [get_ports src_valid]
set_max_delay 7.52 -from [get_ports src_clock] -to [get_ports src_sop]
set_max_delay 7.52 -from [get_ports src_clock] -to [get_ports src_eop]